vhdlのbit数の変更について

vhdlのコードを書いています。

現在取り込むデータを in std_logic_vector(15 downto 0)で定義しているのですが、これを12bitに変更し行いたいです。
単にin std_ logic_vector(11 downto 0)で定義するとエラーになってしまいます。

この16bitで定義されたものを12bitに変更するには
どのようにすれば良いか教えていただきたいです。
よろしくお願いします。

コメントを投稿

0 コメント